Skip to content

ujjawalece/AES-and-DES-Implementation-in-Verilog-Xilinx-

Repository files navigation

Advanced Encryption Standard(AES) Implementation in Verilog(Xilinx)-

Problem Statement-

We have to design Encryption and Decryption scheme for following modes of operation on Advanced Encryption Standard (AES-128):

  1. Electronic Code Book Mode
  2. Cipher Block Chaining Mode
  3. Cipher Feedback Mode
  4. Output Feedback Mode

And we have to implement these all modes on a input image i.e. we have to encrypt a given image using different modes of operation on AES.

You can refer complete Problem Sattement here.

Advanced Encryption Standard(AES)-

You can study about AES from here and about different modes of operation from here or you can read this also.

Approach-

We have an image as input. But we can run our verilog code only on binary input so first we have to convert the given image to binary format using a python code.

Now we have a binary file, we will process on this file.

Solution-

All files are uploaded in this Folder. Please refer this file to understand what each file do.

Results-

ECB (Electronic Code Book) mode-

Encrypted image- im

Decrypted image- im

CBC (Cipher Block Chaining) mode-

Encrypted image- im

Decrypted image- im

CFB (Cipher FeedBack) mode-

Encrypted image- im

Decrypted image- im

OFB (Output FeedBack) mode-

Encrypted image- im

Decrypted image- im

Data Encryption Standard(DES) Implementation in Verilog(Xilinx)-

You can see complete DES cipher implementation here.