Skip to content

Commit

Permalink
Prepare for release
Browse files Browse the repository at this point in the history
  • Loading branch information
olofk committed Jun 15, 2024
1 parent 2850dcf commit 5261c8e
Show file tree
Hide file tree
Showing 4 changed files with 19 additions and 3 deletions.
16 changes: 16 additions & 0 deletions NEWS
Original file line number Diff line number Diff line change
@@ -1,3 +1,19 @@
1.3.0 2024-06-16 Olof Kindgren
======================================================

* Zephyr BSP: Port to Zephyr 3.5.0 + support tickless timer
* Make RF RAM IF work with single-port RAM
* Add PC tracing
* Make most modules width-independent
* Avoid releasing trap signal too early
* Improve timer wraparound behavior
* Overhaul documentation
* Add Servile convenience wrapper component
* Base Serving and Serving on Servile
* Add simulation cycle counter to testbench
* Add Hello world ASM example for Servant
* New Servant ports: Arty S7-50, PolarFire Splash Kit, Machdyne Kolibri, GMM-7550, Alchistry AU, ECP5 Evaluation board, Terasic DE1 SoC

1.2.1 2022-12-25 Olof Kindgren
======================================================

Expand Down
2 changes: 1 addition & 1 deletion serv.core
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
CAPI=2:

name : ::serv:1.2.1
name : ::serv:1.3.0

filesets:
core:
Expand Down
2 changes: 1 addition & 1 deletion servant.core
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
CAPI=2:

name : ::servant:1.2.1
name : ::servant:1.3.0
description: Simple reference system for SERV

filesets:
Expand Down
2 changes: 1 addition & 1 deletion serving.core
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
CAPI=2:

name : ::serving:1.2.1
name : ::serving:1.3.0
description: SERV-based subsystem for FPGAs

filesets:
Expand Down

0 comments on commit 5261c8e

Please sign in to comment.