Skip to content

Commit

Permalink
Merge branch 'TinyTapeout:main' into main
Browse files Browse the repository at this point in the history
  • Loading branch information
litneet64 committed Jun 10, 2024
2 parents 4b29b12 + b906f3d commit 4851521
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion test/test.py
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# SPDX-FileCopyrightText: © 2024 Tiny Tapeout
# SPDX-License-Identifier: MIT
# SPDX-License-Identifier: Apache-2.0

import cocotb
from cocotb.clock import Clock
Expand Down

0 comments on commit 4851521

Please sign in to comment.