Skip to content

cluelogic/uvm-tutorial-for-candy-lovers

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

21 Commits
 
 
 
 
 
 

Repository files navigation

Source Code for UVM Tutorial for Candy Lovers

This is a source code repo for UVM Tutorial for Candy Lovers.

List of source code

Tutorial File
1, 2, 3, 4, 5, and 6 src/tutorial_1_to_6.sv
7 and 8 src/tutorial_7_and_8.sv
9 src/tutorial_9.sv
15 src/tutorial_15.sv
21 src/tutorial_21.sv
23 src/tutorial_23/*.sv
24 src/tutorial_24/*.sv
25 src/tutorial_25/*.sv
26 src/tutorial_26/*.sv
27 src/tutorial_27/*.sv
28 src/tutorial_28/*.sv
29 src/tutorial_29/*.sv
32 src/tutorial_32/*.sv
33 src/tutorial_33/*.sv

There is no source code associated with Tutorials #10, 11, 12, 13, 14, 16, 17, 18, 19, 20, 22, 30 or 31.

How to install

Using Git

  1. git clone https://github.com/cluelogic/uvm-tutorial-for-candy-lovers
  2. Go to the run directory: cd uvm-tutorial-for-candy-lovers/run
  3. Check make options: make help
  4. Run a simulation using the options listed in the previous step.

Traditional way

  1. Click the Download ZIP button on the right.
  2. Unzip the source code: unzip uvm-tutorial-for-candy-lovers-master.zip
  3. Go to the run directory: cd uvm-tutorial-for-candy-lovers-master/run
  4. Check make options: make help
  5. Run a simulation using the options listed in the previous step.

About

Source code repo for UVM Tutorial for Candy Lovers

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages