Skip to content

Commit

Permalink
update a079612
Browse files Browse the repository at this point in the history
  • Loading branch information
GitHub Actions authored and GitHub Actions committed Jun 27, 2024
0 parents commit 6951922
Show file tree
Hide file tree
Showing 3,315 changed files with 2,205,913 additions and 0 deletions.
The diff you're trying to view is too large. We only load the first 3000 changed files.
4 changes: 4 additions & 0 deletions .buildinfo
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
# Sphinx build info version 1
# This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done.
config: b6f34b4f7c617eed4a3f8b454fdf78e2
tags: 645f666f9bcd5a90fca523b33c5a78b7
Empty file added .nojekyll
Empty file.
242 changes: 242 additions & 0 deletions Overview/Osvvm1About.html
Original file line number Diff line number Diff line change
@@ -0,0 +1,242 @@
<!DOCTYPE html>
<html class="writer-html5" lang="en" >
<head>
<meta charset="utf-8" /><meta name="viewport" content="width=device-width, initial-scale=1" />

<meta name="viewport" content="width=device-width, initial-scale=1.0" />
<title>About OSVVM &mdash; OSVVM Documentation 2022.03 documentation</title>
<link rel="stylesheet" href="../_static/pygments.css" type="text/css" />
<link rel="stylesheet" href="../_static/css/theme.css" type="text/css" />
<link rel="stylesheet" href="../_static/theme_overrides.css" type="text/css" />
<!--[if lt IE 9]>
<script src="../_static/js/html5shiv.min.js"></script>
<![endif]-->

<script src="../_static/documentation_options.js?v=ec4c5e3f"></script>
<script src="../_static/doctools.js?v=888ff710"></script>
<script src="../_static/sphinx_highlight.js?v=dc90522c"></script>
<script src="../_static/js/theme.js"></script>
<link rel="index" title="Index" href="../genindex.html" />
<link rel="search" title="Search" href="../search.html" />
<link rel="next" title="Getting Started with OSVVM" href="Osvvm2GettingStarted.html" />
<link rel="prev" title="OSVVM Table Of Contents" href="../index.html" />
</head>

<body class="wy-body-for-nav">
<div class="wy-grid-for-nav">
<nav data-toggle="wy-nav-shift" class="wy-nav-side">
<div class="wy-side-scroll">
<div class="wy-side-nav-search" >
<a href="../index.html" class="icon icon-home"> OSVVM Documentation
</a>
<div class="version">
2022.03
</div>
<div role="search">
<form id="rtd-search-form" class="wy-form" action="../search.html" method="get">
<input type="text" name="q" placeholder="Search docs" />
<input type="hidden" name="check_keywords" value="yes" />
<input type="hidden" name="area" value="default" />
</form>
</div>
</div><div class="wy-menu wy-menu-vertical" data-spy="affix" role="navigation" aria-label="Navigation menu">
<p class="caption" role="heading"><span class="caption-text">OSVVM Overview</span></p>
<ul class="current">
<li class="toctree-l1 current"><a class="current reference internal" href="#">About OSVVM</a></li>
<li class="toctree-l1"><a class="reference internal" href="Osvvm2GettingStarted.html">Getting Started with OSVVM</a></li>
<li class="toctree-l1"><a class="reference internal" href="Osvvm3Reports.html">OSVVM Reports</a></li>
<li class="toctree-l1"><a class="reference internal" href="Osvvm4VerificationFramework.html">Structured Testbench Framework</a></li>
<li class="toctree-l1"><a class="reference internal" href="Osvvm5UtilityLibrary.html">VHDL Utility Library</a></li>
<li class="toctree-l1"><a class="reference internal" href="Osvvm6ScriptLibrary.html">Scripting Library</a></li>
<li class="toctree-l1"><a class="reference internal" href="Osvvm7VerificationComponentLibrary.html">OSVVM Verification Component Library</a></li>
</ul>
<p class="caption" role="heading"><span class="caption-text">Appendix</span></p>
<ul>
<li class="toctree-l1"><a class="reference internal" href="../genindex.html">Index</a></li>
</ul>

</div>
</div>
</nav>

<section data-toggle="wy-nav-shift" class="wy-nav-content-wrap"><nav class="wy-nav-top" aria-label="Mobile navigation menu" >
<i data-toggle="wy-nav-top" class="fa fa-bars"></i>
<a href="../index.html">OSVVM Documentation</a>
</nav>

<div class="wy-nav-content">
<div class="rst-content">


<div role="navigation" aria-label="Page navigation">
<ul class="wy-breadcrumbs">
<li><a href="../index.html" class="icon icon-home"></a> &raquo;</li>
<li>About OSVVM</li>
<li class="wy-breadcrumbs-aside">
<a href="https://github.com/OSVVM/osvvm.github.io/blob/main//Overview/Osvvm1About.rst" class="fa fa-github"> Edit on GitHub</a>
</li>
</ul>
<hr/>
</div>

<div role="main" class="document" itemscope="itemscope" itemtype="http://schema.org/Article">
<div itemprop="articleBody">

<section id="about-osvvm">
<h1>About OSVVM<a class="headerlink" href="#about-osvvm" title="Link to this heading"></a></h1>
<a class="reference external image-reference" href="https://github.com/OSVVM"><img alt="../_images/logo_full_size.png" class="align-center" src="../_images/logo_full_size.png" style="width: 500px;" /></a>
<section id="id1">
<h2>About OSVVM<a class="headerlink" href="#id1" title="Link to this heading"></a></h2>
<p>OSVVM is an advanced verification methodology that
defines a VHDL verification framework, verification utility library,
verification component library, scripting API, and co-simulation capability
that simplifies your FPGA or ASIC verification project from start to finish.
Using these libraries you can create a simple, readable,
and powerful testbench that will boost productivity for either
low level block tests (unit tests) or complex FPGA and ASIC tests.</p>
<p>OSVVM is developed by the same VHDL experts who
have helped develop VHDL standards.
We have used our expert VHDL skills to create
advanced verification capabilities that provide:</p>
<ul class="simple">
<li><p>A structured transaction-based framework using verification components that is suitable for all verification tasks - from Unit/RTL to full chip/system level testing.</p></li>
<li><p>Test cases and verification components that can be written any VHDL Engineer.</p></li>
<li><p>Test cases that are readable and reviewable by the whole team including software and system engineers.</p></li>
<li><p>Unmatched reuse through the entire verification process.</p></li>
<li><p>Unmatched test reporting with HTML based test suite reports, test case reports, and logs that facilitate debug and test artifact collection.</p></li>
<li><p>Support for continuous integration (CI/CD) with JUnit XML test suite reporting.</p></li>
<li><p>Powerful and concise verification capabilities including Constrained Random, Functional Coverage, Scoreboards, FIFOs, Memory Models, error logging and reporting, and message filtering that are simple to use and work like built-in language features.</p></li>
<li><p>A common scripting API to run all simulators - including GHDL, NVC, Aldec Riviera-PRO and ActiveHDL, Siemens Questa and ModelSim, Synopsys VCS, and Cadence Xcelium.</p></li>
<li><p>A Co-simulation capability that supports running software (C++) in a hardware simulation environment.</p></li>
<li><p>A Model Independent Transaction (MIT) library that defines a transaction API (procedures such as read, write, send, get, …) and transaction interface (a record) that simplifies writing verification components and test cases.</p></li>
<li><p>A rival to the verification capabilities of SystemVerilog + UVM.</p></li>
</ul>
<p>Looking to improve your VHDL verification methodology?
OSVVM provides a complete solution for VHDL ASIC or FPGA verification.
There is no new language to learn.
It is simple, powerful, and concise.
Each piece can be used separately.
Hence, you can learn and adopt pieces as you need them.</p>
<p>Important benefits of OSVVM:</p>
<ul class="simple">
<li><dl class="simple">
<dt>Each piece is independent</dt><dd><ul>
<li><p>Add them to your current VHDL testbench incrementally.</p></li>
</ul>
</dd>
</dl>
</li>
<li><dl class="simple">
<dt>Verification Framework (aka. Structured Testbench Framework) that</dt><dd><ul>
<li><p>Is based on transactions and verification components - just like SystemVerilog and SystemC</p></li>
<li><p>Is simple enough to use on small blocks - unlike SystemVerilog</p></li>
<li><p>Is powerful enough to use on large, complex FPGAs and ASICs - like SystemVerilog</p></li>
<li><p>Is so simple that we don’t need a “Lite” or “Easy” approach - unlike SystemVerilog</p></li>
<li><p>Uses transaction calls to write test cases which accelerates their development and simplifies readability.</p></li>
<li><p>Defines a pattern and utilities for verification component (VC) development</p></li>
<li><p>Defines a pattern and utilities for using VHDL records as an interface to connect testbench components</p></li>
<li><p>Defines a common set of Model Independent Transactions (MIT) that can be used for any address bus or streaming interface.</p></li>
<li><p>Facilitates reuse between RTL, Core, and System tests by using the same framework and verification components</p></li>
<li><p>Makes test cases readable by RTL, verification, software, and system engineers</p></li>
</ul>
</dd>
</dl>
</li>
<li><dl class="simple">
<dt>Verification utility library that</dt><dd><ul>
<li><p>Simplifies Self-checking, Error handling, and Message Filtering</p></li>
<li><p>Implements Constrained Random, Functional Coverage, Scoreboards, FIFOs, Memory Models</p></li>
<li><p>Is simple to use and works like built-in language features</p></li>
</ul>
</dd>
</dl>
</li>
<li><dl class="simple">
<dt>Unmatched Test reporting</dt><dd><ul>
<li><p>JUnit XML for use with continuous integration (CI/CD) tools.</p></li>
<li><p>HTML Build Summary Report for reporting test suite level information</p></li>
<li><p>HTML Test Case Detailed report for each test case.</p></li>
<li><p>HTML based Alert, Functional Coverage, and Scoreboard Reports</p></li>
<li><p>HTML based test transcript/log files</p></li>
<li><p>Find and debug issues faster</p></li>
</ul>
</dd>
</dl>
</li>
<li><dl class="simple">
<dt>Verification component library</dt><dd><ul>
<li><p>Free open source verification components for AXI4 Full, AXI4 Lite, AXI Stream, UART, and DPRAM</p></li>
<li><p>More in progress</p></li>
</ul>
</dd>
</dl>
</li>
<li><dl class="simple">
<dt>One Script to Run Simulators</dt><dd><ul>
<li><p>Same script supports GHDL, Aldec Riviera-PRO and ActiveHDL, Siemens QuestaSim and ModelSim, Synopsys VCS, and Cadence Xcelium</p></li>
</ul>
</dd>
</dl>
</li>
<li><dl class="simple">
<dt>Co-simulation</dt><dd><ul>
<li><p>Supports running software (C++) in a hardware simulation environment</p></li>
<li><p>Write test cases in C++</p></li>
<li><p>Run C++ models such as instruction set simulators</p></li>
</ul>
</dd>
</dl>
</li>
<li><dl class="simple">
<dt>Tests and verification components can be written by any VHDL Engineer</dt><dd><ul>
<li><p>While on a project it is good to separate design and verification, our engineering team members should be able to do either.</p></li>
</ul>
</dd>
</dl>
</li>
<li><dl class="simple">
<dt>It is free open source</dt><dd><ul>
<li><p>It upgrades an ordinary VHDL license with full featured verification capabilities.</p></li>
</ul>
</dd>
</dl>
</li>
</ul>
<p>SynthWorks has been using OSVVM for 25+ years in our
training classes and consulting work.
During that time, we have innovated new capabilities
and evolved our existing ones to increase
re-use and reduce effort and time spent.</p>
</section>
</section>


</div>
</div>
<footer><div class="rst-footer-buttons" role="navigation" aria-label="Footer">
<a href="../index.html" class="btn btn-neutral float-left" title="OSVVM Table Of Contents" accesskey="p" rel="prev"><span class="fa fa-arrow-circle-left" aria-hidden="true"></span> Previous</a>
<a href="Osvvm2GettingStarted.html" class="btn btn-neutral float-right" title="Getting Started with OSVVM" accesskey="n" rel="next">Next <span class="fa fa-arrow-circle-right" aria-hidden="true"></span></a>
</div>

<hr/>

<div role="contentinfo">
<p>&#169; Copyright 2022, Jim Lewis Licensed under CC BY-NC-ND 4.0.</p>
</div>Built with <a href="http://sphinx-doc.org/">Sphinx</a> using a
<a href="https://github.com/buildthedocs/sphinx.theme">theme</a>
provided by <a href="https://buildthedocs.github.io">Build the Docs</a>.


</footer>
</div>
</div>
</section>
</div>
<script>
jQuery(function () {
SphinxRtdTheme.Navigation.enable(true);
});
</script>

</body>
</html>
Loading

0 comments on commit 6951922

Please sign in to comment.