{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"pyfpga","owner":"PyFPGA","isFork":false,"description":"A Python package to use FPGA development tools programmatically.","allTopics":["amd","tcl","intel","trellis","xilinx","vivado","altera","ise","icestorm","lattice","microchip","ghdl","yosys","quartus","microsemi","nextpnr","actel","libero","python","fpga"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":4,"starsCount":78,"forksCount":7,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-02T01:36:58.001Z"}},{"type":"Public","name":"resources","owner":"PyFPGA","isFork":false,"description":"Shared resources (HDL and constraint files) between projects of the PyFPGA organization.","allTopics":["fpga","vhdl","constraints","verilog"],"primaryLanguage":{"name":"VHDL","color":"#adb2cb"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-20T22:26:54.983Z"}},{"type":"Public","name":"containers","owner":"PyFPGA","isFork":false,"description":"Containers for FOSS tools","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":3,"starsCount":1,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-09T03:19:02.163Z"}},{"type":"Public","name":"hdl2vlog","owner":"PyFPGA","isFork":false,"description":"VHDL / System Verilog to Verilog converter, based on Yosys and the plugins ghdl-yosys-plugin and synlig.","allTopics":["vhdl","verilog","systemverilog","yosys","ghdl-yosys-plugin","synlig"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":1,"starsCount":6,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-04T20:55:14.575Z"}},{"type":"Public","name":"poc","owner":"PyFPGA","isFork":false,"description":"Proofs of concept about FPGA EDA tools.","allTopics":["fpga","tcl","vivado","ise","icestorm","ghdl","yosys","quartus","nextpnr","prjtrellis","libero"],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":1,"issueCount":0,"starsCount":2,"forksCount":0,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-05-15T18:41:18.966Z"}},{"type":"Public","name":"symbiflow_cli","owner":"PyFPGA","isFork":false,"description":"A possible replacement for openflow, which would be ideally contributed to the SymbiFlow project","allTopics":["icestorm","ghdl","yosys","nextpnr","prjtrellis","ghdl-yosys-plugin"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":2,"starsCount":0,"forksCount":0,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-08-02T03:09:58.956Z"}},{"type":"Public","name":"openflow","owner":"PyFPGA","isFork":false,"description":"A Python library, and CLI utilities, which solves HDL-to-bitstream based on FOSS.","allTopics":["fpga","icestorm","ghdl","yosys","nextpnr","prjtrellis"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":6,"starsCount":3,"forksCount":1,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-04-13T00:27:47.585Z"}}],"repositoryCount":7,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"PyFPGA repositories"}