Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Standardize on a VCS version and remove the "UNSUPPORTED_WITH" workaround #2404

Open
2 tasks
MikeOpenHWGroup opened this issue Apr 5, 2024 · 0 comments
Open
2 tasks
Assignees
Labels
cva6 task Assignment to create something new

Comments

@MikeOpenHWGroup
Copy link
Member

This task is related to #2393. We have determined that VCS versions U-2023.03-SP1 and V-2023.12-1 resolve the issue and functional coverage code constructs such as bins rd[] = {[0:31]} with (fpu_latency == 0); now compile and run as expected.

It was pointed out by @AyoubJalali that ISACOV & CVXIF also have workarounds for these code constructs and we should be able to remove them now that VCS handles the code correctly.

This task will be complete when:

  • The CVA6 team has standardized on a specific version of VCS, and includes a run-time check to ensure the user is running that version (or newer).
  • A pull-request to resolve the ISACOV and CVXIF workaround has been merged in.

Note: this task has been labeled cva6, because that is the project that will probably want this task completed first. However, it affects all cores using ISACOV and the CVXIF coverage models from the master branch. (so say "thanks" to the CVA6 team 😄)

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
cva6 task Assignment to create something new
Projects
None yet
Development

No branches or pull requests

2 participants