Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Data generation not deterministic #4

Open
SungMinCho opened this issue Dec 16, 2019 · 0 comments
Open

Data generation not deterministic #4

SungMinCho opened this issue Dec 16, 2019 · 0 comments

Comments

@SungMinCho
Copy link

masked_lm_prob, max_predictions_per_seq, vocab, random.Random(random.randint(1,10000)),

Your data generation code is not deterministic, hence making it difficult to reproduce your result.

As shown in the referenced code, create_instances_threading() receive random.Random(random.randint(1, 10000)) as rng, which makes it undeterministic.

Please reply.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant